→ Схема советских электронных часов. Электронные часы будильник. Установка текущего времени, будильников и ежечасового сигнала

Схема советских электронных часов. Электронные часы будильник. Установка текущего времени, будильников и ежечасового сигнала

Добрый день. Предлагаю Вашему вниманию мою разработку - первичные часы. Собрано из того что было под рукой, но если всё покупать, то выйдет не более 100 рублей (не считая трансформатора и самих вторичных часов). Электрическая схема часов увеличится по клику.

Схема первичных часов на МК

Предыстория такова. Попросил знакомый собрать ему первичные часы (т.к вторичные у него с советских времён завалялись в подсобке). Если кто не знает - вторичные часы раньше стояли во всех цехах на заводах и фабриках (и в школах тоже были во всех аудиториях), а управлялись они при помощи первичных часов. То есть одни первичные часы управляли сотней вторичных. Покопавшись в интернете нашёл описание работы (а именно меня интересовал способ управления) и кучу схем, на кварцевом резонаторе 32 килогерца и почти вымершей микросхеме 176ие12. Но потом подумал: "я эмбеддер или где?" и решил сам разработать на современной элементной базе, а именно - микроконтроллерный счетный узел, триггер и мост Н-типа для управления шаговым двигателем. Нужно его обмотку раскачивать в разные полярности, то есть на первой минуте на обмотке импульс +-, на следующей наоборот -+, потом опять +-, потом -+ и т.д. Написал программу следующего алгоритма - микроконтроллер pic12f629 "дергает одной ногой с частотой 1 Гц - это будут секунды, а другой с частотой 0,0Гц - это будут минуты. Частота счета высокостабильная из-за кварцевой стабилизации частоты тактирования микроконтроллера.

Работа схемы первичных часов


При подаче питания на 5 ножке микроконтроллера вырабатываются импульсы частотой 1 Гц и скважностью 2 (или Коэффициентом заполнения 0,5 - это кому как удобнее) короче меандр, открывают и закрывают транзистор VT7 и светодиод HL1 моргает 1 раз в секунду. На 7-й ножке вырабатываются импульсы частотой 0,016666666666666666666666666666667Гц со скважностью 60, они поступают на 3 вывод триггера тм2 и каждую минуту переключают его, то есть состояние его ножек 1 и 2 меняется раз в минуту, например первая минута 1 ножка лог 1,2 ножка лог 0. Вторая минута 1 ножка лог 0,2 ножка лог 1.


Проходные конденсаторы с7 и с8 в момент смены логов на выходах триггера разряжаются в одной полярности и заряжаются в другой, за счет этого они кратковременно проводят сигналы к базам VT1 и VT2 которые управляют диагоналями Н-моста. За счёт этого Н-мост проводит ток то через открытые VT3 и VT6, то через VT4 и VT5, соответственно меняя полярность приложенного напряжения к обмотке шагового двигателя. К статье прилагаю плату в LAY, схему в sPlan, прошивку.НЕХ, исходник.ASM. . Более новый вариант платы находится


Скриншот битов конфигурации контроллера. Выставляем их вручную, так как с интегрированными в прошивку запускаются нестабильно.

Видео работы первичных часов


P.S. На плате обозначена перемычка желтого цвета, так это НЕ ПЕРЕМЫЧКА, а посто обозначено, что это плюсовые линии, но РАЗНОГО ПОТЕНЦИАЛА (напряжения). За неделю часы отстают всего на 24секунды - проверено по недельному секундомеру. Желаю всем удачи. С уважением, Жданов Андрей (Мастер665).

Часы со светодиодным семисегментным индикатором на микросхеме К145ИК1911

История этих часов появления на сайте немного иная, от других схем на сайте.

Обычный выходной, захожу на почту,роюсь, и на хожу наш читатель Федоренко Евгений, прислал схему часов,с описанием и со всеми фотографиями.

Кратко о схеме.Это схема электронных часов своими руками выполненная на микросхеме К145ИК1911 , и время выводится на семи сегментные светодиодные индикаторы.И так его статья.Смотрим все.

Схема часов:


Для увеличения снимка, его просто стоит увеличить нажатием.И сохранить компьютер.

Не так давно передо мной встала задача – либо купить новые часы, либо собрать новые самостоятельно. Требования к часам выдвигались простые – на дисплее должны отображаться часы и минуты, должен быть будильник, причём, в качестве устройства отображения должны использоваться светодиодные семисегментные индикаторы. Не хотелось нагромождать кучу логических микросхем, а с программированием контроллеров связываться не было желания. Выбор остановил на разработке советской электронной промышленности – микросхеме К145ИК1901 .

В магазине на тот момент её не оказалось, но был аналог, в 40 выводном корпусе – К145ИК1911. Наименование выводов данной микросхемы ничем не отличается от предыдущей, различие – в нумерации.



Минусом этих микросхем является то, что они работают только с вакуумными люминесцентными индикаторами. Для обеспечения стыковки со светодиодным индикатором потребовалось построить схему согласования на полупроводниковых ключах.

В качестве драйверов строк – J1-J7 можно применить транзисторы КТ3107 с буквенным индексом И, А, Б. Для драйверов выбора сегментов D1-D4 пойдут КТ3102И, либо КТ3117А, КТ660А, а также любые другие с максимальным напряжением коллектор-эмиттер не менее 35 В и током коллектора не менее 100 мА. Ток сегментов индикаторов регулируется резисторами в коллекторных цепях драйверов строк.



Для разделения разрядов часов и минут используется точка, мигающая с частотой 1 Гц.

Эта частота присутствует на выводе микросхемы Y4, после того, как начался отсчёт времени. В данной схеме также предусмотрена возможность отображения на дисплее вместо часов и минут – минут и секунд соответственно. Переход в данный режим осуществляется нажатием на кнопку «Сек.». Возврат к индикации времени часов и минут осуществляется после нажатия кнопки «Возврат». Данная микросхема обеспечивает возможность установки двух будильников одновременно, но в данной схеме второй будильник не используется за ненадобностью. В качестве звукоизлучателя использована пьезо-пищалка со встроенным генератором, с напряжением питания 12В. Сигнал включения будильника снимается с вывода Y5 микросхемы. Для обеспечения прерывистого звучания, сигнал модулируется частотой 1 Гц, используемой для индикации секундного ритма (точки). Для более подробного изучения функционала микросхемы К145ИК1901(11) можно обратиться к документации, которую в последнее время можно без труда найти в сети. Питание микросхемы должно осуществляться отрицательным напряжением -­27В±10%. Согласно проведённым экспериментам, микросхема сохраняет работоспособность даже при напряжении -19В, причём точность хода часов при этом ничуть не пострадала.

Схема часов приведена на рисунке выше. В схеме были применены чип-резисторы типоразмера 1206, что позволяет существенно уменьшить габариты устройства. В качестве семисегментных индикаторов подойдут любые, с общим анодом.

Ну вот кончилась статься на данный момент.Которая будет еще дорабатываться и пополняться.А я выражаю благодарность ее автору-Федоренко Евгений,по всем вопросам а так же дать его почту.Пишите на Этот адрес электронной почты защищён от спам-ботов. У вас должен быть включен JavaScript для просмотра.

Предлагаю для повторения схему простых электронных часов с будильником, выполненные на типа PIC16F628A. Большим плюсом данных часов является светодиодный индикатор типа АЛС, для отображения времени. Лично мне порядком надоели всевозможные ЖКИ и хочется иметь возможность видеть время из любой точки комнаты в том числе в темноте, а не только прямо с хорошим освещением. Схема содержит минимум деталей и имеет отличную повторяемость. Часы испытаны на протяжении месяца, что показало их надежность и работоспособность. Думаю из всех схем в интернете, эта наиболее простая в сборке и запуске.

Принципиальная схема электронных часов с будильником на микроконтроллере:


Как видно из схемы часов, является единственной микросхемой, используемой в данном устройстве. Для задания тактовой частоты используется кварцевый резонатор на 4 МГц. Для отображения времени использованы индикаторы красного цвета с общим анодом, каждый индикатор состоит из двух цифр с десятичными точками. В случае использования пьезоизлучателя, конденсатор С1 - 100мкФ можно не ставить.

Можно применить любые индикаторы с общим анодом, лишь бы каждая цифра имела собственный анод. Чтоб электронные часы были хорошо видны в темноте и с большой дистанции - старайтесь выбрать АЛС-ки чем покрупнее.


Индикация в часах осуществляется динамически. В данный конкретный момент времени отображается лишь одна цифра, что позволяет значительно снизить потребление тока. Аноды каждой цифры управляются микроконтроллером PIC16F628A. Сегменты всех четырех цифр соединены вместе и через токоограничивающие резисторы R1 … R8 подключены к выводам порта МК. Поскольку засвечивание индикатора происходит очень быстро, мерцание цифр становится незаметным.


Для настройки минут, часов и будильника - используются кнопки без фиксации. В качестве выхода для сигнала будильника используется вывод 10, а в качестве усилителя - каскад на транзисторах VT1,2. Звукоизлучателем является пьезоэлемент типа ЗП. Для улучшения громкости вместо него можно поставить небольшой динамик.


Питаются часы от стабилизированного источника напряжением 5В. Можно и от батареек. В часах реализовано 9 режимов индикации. Переход по режимам осуществляется кнопками "+" и "-". Перед выводом на индикацию самих показаний, на индикаторы выводится короткая подсказка названия режима. Длительность вывода подсказки - одна секунда.


Кнопкой "Коррекция" часы - будильник переводятся в режим настроек. При этом кратковременная подсказка выводится на пол секунды, после чего корректируемое значение начинает мигать. Коррекция показаний осуществляется кнопками "+" и "-". При длительном нажатии на кнопку, включается режим автоповтора, с заданной частотой. Все значения, кроме часов, минут и секунд, записываются в EEPROM и восстанавливаются после выключения - включении питания.


Если в течение нескольких секунд ни одна из кнопок не нажата, то электронные часы переходят в режим отображения времени. Нажатием на кнопку "Вкл/Выкл" включается или выключается будильник, это действие подтверждается коротким звуком. При включенном будильнике светится точка в младшем разряде индикатора. Думал куда бы пристроить часы на кухне, и решил вмонтировать их прямо в газовую плиту:) Материал прислал in_sane.


Обсудить статью ЭЛЕКТРОННЫЕ ЧАСЫ БУДИЛЬНИК

Чрезвычайно важен выбор серии микросхем, на которой будет реализована эта схема. Для часов самым важным параметром является ток, потребляемый ими, так как в большинстве случаев или все часы, или часть схемы часов питается от элементов питания. Поэтому при разработке схемы будем выбирать микросхемы, реализованные по .

Разработку схемы часов начнём с кварцевого генератора. Как уже обсуждалось при разработке структурной схемы, в составе генератора будет применён часовой кварцевый резонатор. Для уменьшения стоимости всего устройства в целом применим простейшую схему генератора — ёмкостную трёхточку, а так как генератор предназначен для синхронизации цифрового устройства, то генератор выполним на логическом инверторе. Принципиальная схема такого кварцевого генератора приведена на рисунке 1.


Рисунок 1. Схема кварцевого генератора, выполненная на логическом инверторе

Напомню, что резистор R1 предназначен для автоматического запуска генератора при включении питания. Этот же элемент определяет коэффициент усиления инвертора, и чем больше будет этот коэффициент усиления, тем более прямоугольные колебания будут формироваться на его выходе, а это, в свою очередь, приведёт к снижению тока, потребляемого кварцевым генератором. Выберем R1 равным 10 Мом.

R2 предназначен для предотвращения самовозбуждения генератора на частоте, определяемой ёмкостью кварцедержателя. Выберем значение сопротивления этого резистора 510 кОм.

Второй в схеме генератора предназначен для уменьшения длительности фронтов формируемого прямоугольного колебания. Это необходимо для уменьшения влияния последующей схемы на стабильность колебаний задающего генератора, а также для более надёжной работы цифровых счётчиков делителя частоты.

В качестве микросхемы, содержащей инверторы, выберем микросхему SN74LVC2G04DRL. В этой микросхеме, построенной по КМОП технологии, содержится два инвертора. О том, что в микросхеме содержится два элемента, говорит обозначение 2G. То что это инверторы — обозначается цифрой 04, а то, что в микросхеме использован корпус с шагом выводов 0,5 мм — буквы DRL. Размеры корпуса этой микросхемы не превышают 1.6*1.6мм (у корпуса всего шесть выводов). Микросхема способна работать в диапазоне напряжений от 1,5 до 5,5 В.

Следующей реализуем схему делителя частоты до значения 1 Гц. Напомню, что период колебаний с частотой 1 Гц равен 1 секунде. Как это мы уже определили при разработке структурной схемы, его коэффициент деления должен быть равен 32768. То есть для реализации делителя потребуется 15 счётных триггеров. Конечно, можно взять микросхему К176ИЕ12, специально разработанную для этой цели, но мы не ищем простых путей, поэтому используем универсальную микросхему SN74HC393PW. В ней есть два независимых четырёхразрядных двоичных счётчика. Это означает, что для реализации нашего делителя будет достаточно всего двух микросхем.

Размеры корпуса выбранной микросхемы не превышают 5´6.4мм. У корпуса этой микросхемы имеется 14 выводов. Если к габаритам часов нет особых требований, то можно использовать отечественную микросхему К1564ИЕ19. Ее корпус больше корпуса выбранной микросхемы более чем в два раза. Однако при этом даже номера выводов микросхем будут совпадать. Полученная принципиальная схема генератора секундных импульсов электронных часов приведена на рисунке 2.



Рисунок 2. Схема делителя на 32768 генератора секундных импульсов

Теперь вспомним, что в генераторе временных интервалов необходим еще один делитель частоты. Период импульсов на его выходе будет равен 1 минуте. Делитель на шестьдесят можно реализовать на точно такой же микросхеме что мы использовали и ранее для построения делителя на 32768.

Делитель на шестьдесят не кратен степени числа два, поэтому для его реализации потребуется обратная связь. Для упрощения схемы обратим внимание, что число 60 разбивается на числа 10 и 6. И то, и другое число содержат только две единицы. Выводы 4-х разрядных счетчиков выходят на разные стороны корпуса микросхемы. Поэтому будет удобно использовать два независимых логических элемента “2И”. Это позволит значительно упростить разводку печатной платы и сократить длину соединительных проводов, тем самым, уменьшив площадь печатной платы и возможные помехи от работающей схемы.

В качестве логических элементов "2И" используем две микросхемы SN74LVC1G08DRLR. То, что в микросхеме содержится только один логический элемент, мы определяем по символам 1G, а то, что это логический элемент "2И" — по цифрам 08. Размеры корпуса выбранной микросхемы не превышают 1.6×1.6 мм. Отечественные варианты подобной микросхемы, например К1554ЛИ1, содержат в одном корпусе сразу по четыре логических элемента, расстояние между выводами составляет минимум 1,25 мм. В результате схема, собранная на таких микросхемах, будет идентична по электрическим параметрам, но проиграет по размерам.

Полученная схема делителя частоты на 60, вырабатывающая импульсы с периодом 1 мин и состоящая из последовательно включенных делителей на 10 и на 6, приведена на рисунке 3. Схема реализована всего на трёх микросхемах. Использование обратной связи с выводов Q1 и Q3 превращает двоичный счётчик D1.1 в десятичный, а применение обратной связи с выводов Q1 и Q2 микросхемы D1.2 реализует счётчик по модулю 6.



Рисунок 3. Схема делителя на 60 генератора минутных импульсов

Итак, мы закончили разработку генератора минутных импульсов. Всего нам потребовалось шесть микросхем, при этом три из них относятся к микросхемам малой логики и занимают минимум места на печатной плате цифрового устройства.

Теперь можно приступить к разработке принципиальной схемы счетчика временных интервалов. Как мы уже выяснили при разработке структурной схемы часов, в состав этого счётчика входит точно такой же делитель на 60, как и в генераторе минутных импульсов, поэтому можно воспользоваться той же самой схемой. Отличие заключается только в том, что на этот раз нам потребуются все выходы счётчиков. Сигналы с этих выводов мы будем подавить на вход блока индикации.

Последний счётчик, который нам потребуется для реализации блока счётчика временных интервалов - это счётчик на 24. Этот счётчик было бы удобно реализовать на микросхеме десятичного счётчика, однако сдвоенных микросхем асинхронных десятичных счётчиков не производится, поэтому реализуем счётчик часов на той же микросхеме, что и остальные блоки часов — SN74HC393PW.

Сложность в реализации этой схемы заключается в том, что коэффициент счёта не кратен десяти, поэтому сигнал обратной связи необходимо заводить на оба счётчика одновременно. Можно было бы реализовать этот счётчик в двоичном виде, но тогда возникнут сложности с отображением содержимого этого счётчика. Для того, чтобы реализовать на первом 4-х разрядном счётчике десятичный счётчик и одновременно получить возможность сброса всего счётчика часов в начале суток используем дополнительный логический элемент “2ИЛИ”. Сигнал сброса на выходе этой микросхемы появится либо в случае достижения первым счётчиком числа 10, либо при достижении всем счётчиком значения 24.

В качестве логического элемента “2ИЛИ” используем микросхему малой логики, подобную уже использованной микросхеме “2И”. Это микросхема SN74LVC1G32DRLR. Цифра 32 в названии микросхемы и обозначает логический элемент “2ИЛИ”. Размеры корпуса этой микросхемы не превышают 1.6´1.6мм. В результате, несмотря на несколько более сложную принципиальную схему площадь, занимаемая счётчиком часов, значительно уменьшается.

Полная принципиальная схема счётчика часовых импульсов, реализованная на микросхеме SN74HC393PW приведена на рисунке 4. Использование обратной связи с выводов Q1 и Q3 первой микросхемы превращает ее в десятичный счётчик. Для реализации счетчика по модулю 24 мы используем обратную связь с вывода Q1 старшего разряда счётчика (двойка) и вывода Q2 младшего разряда счётчика часов (четвёрка).



Рисунок 4. Схема счётчика часовых импульсов

Таким образом, мы реализовали основную часть схемы часов, но как это уже обсуждалось при разработке структурной схемы этого недостаточно. Требуется уметь отображать полученную цифровую информацию. Перейдём к разработке блока индикации часов.

Литература:

Вместе со статьей "Разработка принципиальной схемы часов" читают:

Данные часы собранны на хорошо известном комплекте микросхем - К176ИЕ18 (двоичный счетчик для часов с генератором сигнала звонка),

К176ИЕ13 (счетчик для часов с будильником) и К176ИД2 (преобразователь двоичного кода в семисегментный)

При включении питания в счетчик часов, минут и в регистр памяти будильника микросхемы U2 автоматически записываются нули. Для установки

времени следует нажать кнопку S4 (Time Set) и придерживая ее нажать кнопку S3 (Hour) - для установки часов или S2 (Min) - для установки

минут. При этом показания соответствующих индикаторов начнут изменяться с частотой 2 Гц от 00 до 59 и далее снова 00. В момент перехода

от 59 к 00 показания счетчика часов увеличатся на единицу. Установка времени будильника происходит так же, только придерживать нужно

кнопку S5 (Alarm Set). После установки времени срабатывания будильника нужно нажать кнопку S1 для включения будильника (контакты

замкнуты). Кнопка S6 (Reset) служит для принудительного сброса индикаторов минут в 00 при настройке. Светодиоды D3 и D4 играют роль

разделительных точек, мигающих с частотой 1 Hz. Цифровые индикаторы на схеме расположены в правильном порядке, т.е. сначала идут

индикаторы часов, две разделительные точки (светодиоды D3 и D4) и индикаторы минут.

В часах использовались резисторы R6-R12 и R14-R16 ваттностью 0,25W остальные - 0,125W. Кварцевый резонатор XTAL1 на частоту 32 768Hz -

обычный часовой, Транзисторы КТ315А можно заменить на любые маломощные кремниевые соответствующей структуры, КТ815А - на транзисторы

средней мощности со статическим коэффициентом передачи тока базы не менее 40, диоды - любые кремниевые маломощные. Пищалка BZ1

динамическая, без встроенного генератора, сопротивление обмотки 45 Om. Кнопка S1 естественно с фиксацией.

Индикаторы использованы TOS-5163AG зеленого свечения, можно применить любые другие индикаторы с общим катодом, не уменьшая при этом

сопротивление резисторов R6-R12. На рисунке Вы можете наблюдать распиновку данного индикатора, выводы показаны условно, т.к. представлен

вид сверху.

После сборки часов, возможно, нужно будет подстроить частоту кварцевого генератора. Точнее всего это можно сделать, контролируя цифровым

частотомером период колебаний 1 с на выводе 4 микросхемы U1. Настройка генератора по ходу часов потребует значительно большей затраты

времени. Возможно, придется также подстроить яркость свечения светодиодов D3 и D4 подбором сопротивления резистора R5, чтобы все

светилось равномерно ярко. Потребляемый часами ток не превышает 180 мА.

Часы питаются от обычного блока питания, собранного на плюсовом микросхемном стабилизаторе 7809 с выходным напряжением +9V и током 1,5A.

 

 

Это интересно: